System Grab Bag

View all TLDR pages from common (or from all pages)

iverilog

Preprocesses and compiles Verilog HDL (IEEE-1364) code into executable programs for simulation. More information: https://github.com/steveicarus/iverilog.
  • Compile a source file into an executable:
    iverilog {{path/to/source.v}} -o {{path/to/executable}}
  • Compile a source file into an executable while displaying all warnings:
    iverilog {{path/to/source.v}} -Wall -o {{path/to/executable}}
  • Compile and run explicitly using the VVP runtime:
    iverilog -o {{path/to/executable}} -tvvp {{path/to/source.v}}
  • Compile using Verilog library files from a different path:
    iverilog {{path/to/source.v}} -o {{path/to/executable}} -I{{path/to/library_directory}}
  • Preprocess Verilog code without compiling:
    iverilog -E {{path/to/source.v}}

License and Disclaimer

The content on this page is copyright © 2014—present the tldr-pages team and contributors.
This page is used with permission under Creative Commons Attribution 4.0 International License.

While we do attempt to make sure content is accurate, there isn't a warranty of any kind.