ghdl
Open-source simulator for the VHDL language. More information: http://ghdl.free.fr.
-
Analyze a VHDL source file and produce an object file:
ghdl -a {{filename.vhdl}}
-
Elaborate a design (where
{{design}}
is the name of a configuration unit, entity unit or architecture unit):
ghdl -e {{design}}
-
Run an elaborated design:
ghdl -r {{design}}
-
Run an elaborated design and dump output to a waveform file:
ghdl -r {{design}} --wave={{output.ghw}}
-
Check the syntax of a VHDL source file:
ghdl -s {{filename.vhdl}}
-
Display the help page:
ghdl --help
License and Disclaimer
The content on this page is copyright © 2014—present the tldr-pages team and contributors.This page is used with permission under Creative Commons Attribution 4.0 International License.
While we do attempt to make sure content is accurate, there isn't a warranty of any kind.